Cisco WIC-1SHDSL-V2= Datasheet Page 4

  • Download
  • Add to my manuals
  • Print
  • Page
    / 14
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 3
Cisco Systems, Inc.
All contents are Copyright © 1992–2004 Cisco Systems, Inc. All rights reserved. Important Notices and Privacy Statement.
Page 4 of 14
DSLAM Interoperability
Performance
SHDSL performance varies according to DSLAM linecard type, DSLAM Software version, training rate, line noise,
and loop length.
IP Quality of Service (QoS)
The Cisco1700, 2600
2
and 3700
2
Series witha G.SHDSL WAN Interface Cardsupportsthe integration ofvoice and
data over the same G.SHDSL circuit using VoIP and the Cisco 2600 and 3700 support voice over ATM (VoATM),
thus allowing forfurtherreduction of recurring monthly WAN charges. Table 1 describesall the IP QoS features that
are supported on the WIC-1SHDSL and WIC-1SHDSL-V2. For more information about IP QoS, please refer to the
following URL or contact your local Cisco representative:
http://www.cisco.com/univercd/cc/td/doc/product/software/ios122/122newft/122limit/122y/122yn8/ft_ipqos.htm
WIC-1SHDSL (two
wire ATM mode)
WIC-1SHDSL-V2 (two
wire ATM mode)
WIC-1SHDSL-V2 (four
wire ATM mode)
Cisco 6000 Series DSLAM XX
Alcatel ASAM 7300 (12 & 24 port
linecards)
XX X
ECI HiFocus SAM 240
1
(16 Port
Metalink based linecards)
1. ECI Metalink based line cards interoperate with Cisco CPE only in Fixed Mode.
XX
Lucent Stinger FS (32 & 48 Port
linecards)
XX
CopperEdge 200 DSLAM (24 port
linecards)
XX X
2. Please note that some features are available on a subset of platforms only in 12.3(2)T or later.
Table 1 Supported IP QoS features
Classification and Marking
Class-Based Marking with Differentiated Services Code Point (DSCP) (data only)
Committed access rate (CAR) with DSCP (Ingress-Ethernet/Fast Ethernet; Egress—G.SHDSL)
Dial-peer DSCP/IP Precedence marking
Queuing and Scheduling
Class-Based Weighted Fair Queuing (CBWFQ)
Low Latency Queuing (LLQ)
Driver per-VC Queuing
Page view 3
1 2 3 4 5 6 7 8 9 ... 13 14

Comments to this Manuals

No comments